Published June 30, 2023 | Version CC BY-NC-ND 4.0
Journal article Open

A Novel High Computing Power Efficient VLSI Architectures of Three Operand Binary Adders

  • 1. Department of ECE, RGUKT-Basar, Nirmal Telangana, India.
  • 2. Department of ECE, RGUKT-Basar, Nirmal, Telangana, India.

Contributors

Contact person:

  • 1. Department of ECE, RGUKT-Basar, Nirmal Telangana, India.

Description

Abstract: Directly or indirectly adders are the basic elements in almost all digital circuits, three operand adders are the basic building blocks in LCG (Linear congruential generator) based pseudo-random bit generators. Elementary adders are fast, area and power efficient for small bit sizes. Carry save adder computes the addition in O(n) time complexity, due to its ripple carry stage. Parallel prefix adders such as Han-Carlson compute the addition in O(log(n)) time complexity but at the cost of additional circuitry. Hence new high-speed power-efficient adder architecture is proposed which uses four stages to compute the addition, which consumes less power, and the adder delay decreases to O(n/2). Even though it is not much faster than the High-speed Area efficient VLSI architecture of three operand adders (HSAT3), it computes the addition by utilizing less power. The proposed architecture is implemented using Verilog HDL in Xilinx 14.7 design environment and it is evident that this adder architecture is 2 times faster than the carry save adder and 1, 1.5, 1.75 times faster than the hybrid adder structure for 32, 64, 128 bits respectively. Also, power utilization is 1.95 times lesser than HSAT3, 1.94 times lesser than the Han-Carlson adder, and achieves the lowest PDP than the existing three operand techniques.

Notes

Published By: Blue Eyes Intelligence Engineering and Sciences Publication (BEIESP) © Copyright: All rights reserved.

Files

E41880612523.pdf

Files (1.6 MB)

Name Size Download all
md5:06b8866dc9f442e385a517c68e0b7ce5
1.6 MB Preview Download

Additional details

Related works

Is cited by
Journal article: 2249-8958 (ISSN)

References

  • R. M. a. T.Sasilatha, "A power efficient carry save adder and modified carry save adder using cmos technology," International Conference on Computational Intelligence and Computing Research, pp. 1-5, 2013.
  • K. R. a. M. Ahmadi, "Fast carry -look-ahead adder," Engineering Solutions for the Next MIllennium, vol. 1, pp. 529-532, 199.
  • D. R. a. R. S. K. a. D. S. a. M. V. R. a. V. S. a. S. S. A, "Design and Analysis of High-Performance Carry Skip Adder using Various Full Adders," 2021 Smart Technologies, Communication and Robotics (STCR), pp. 1-5, 2021.
  • O. J. Bedrij, "Carry-Select Adder," IRE Transactions on Electronic Computers, Vols. EC-11, pp. 340-346, 1962.
  • S. a. P. N. a. S. M. a. S. R. a. T. T. a. M. M. K, "Certain Investigations on Adder Design for VLSI Signal Processing," 2022 8th International Conference on Advanced Computing and Communication Systems (ICACCS), vol. 1, pp. 1409-1413, 2022.
  • B. a. M. N. a. J. M. O. a. J. P. R. Koyada, "A comparative study on adders," 2017 International Conference on Wireless Communications, Signal Processing and Networking (WiSPNET), pp. 2226-2230, 2017.
  • S. a. V. G. Dubey, "Analysis of Basic Adder with Parallel Prefix Adder," 2020 First IEEE International Conference on Measurement, Instrumentation, Control and Automation (ICMICA), pp. 1-6, 2020.
  • A. K. a. S. A. A. a. S. M. a. S. P. S. a. P. R. R, "Design and Implementation of 64-bit Parallel Prefix Adder," 2020 IEEE International Conference on Distributed Computing, VLSI, Electrical Circuits and Robotics (DISCOVER), pp. 159-164, 2020.
  • A. a. S. S. K. Raju, "Design and performance analysis of multipliers using Kogge Stone Adder," 2017 3rd International Conference on Applied and Theoretical Computing and Communication Technology (iCATccT), pp. 94-99, 2017.
  • P. P. a. J. V. D. Potdukhe, "Design of high speed carry select adder using brent kung adder," 2016 International Conference on Electrical, Electronics, and Optimization Techniques (ICEEOT), pp. 652-655, 2016.
  • M. P. B. Sravanam Sravani, "Design of Efficient 32-Bit Parallel Prefix Ladner," International Journal of Advanced Trends in Engineering, Science and Technology (IJATEST), vol. 2, no. 2, 2017.
  • R. S. S. Gayathri, "Parallel Prefix Speculative Han-Carlson Adder," IOSR Journal of Electronics and Communication Engineering (IOSR-JECE), vol. 11, no. 3, pp. 38-43, 2016.
  • K. S. a. B. D. K. a. G. N. a. S. H. Pandey, "An Ultra-Fast Parallel Prefix Adder," 2019 IEEE 26th Symposium on Computer Arithmetic (ARITH), pp. 125-134, 2019.
  • S. a. C. K. P. a. S. E. E. Muthyala Sudhakar, "Hybrid Han-Carlson adder," 2012 IEEE 55th International Midwest Symposium on Circuits and Systems (MWSCAS), pp. 818-821, 2012.
  • A. K. a. P. R. a. R. K. C. Panda, "High-Speed Area-Efficient VLSI Architecture of Three-Operand Binary Adder," IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 67, no. 11, pp. 3944-3953, 2020.
  • A. a. C. R. K. Kumar Panda, "A Coupled Variable Input LCG Method and its VLSI Architecture for Pseudorandom Bit Generation," IEEE Transactions on Instrumentation and Measurement, vol. 69, no. 4, pp. 1011-1019, 2020
  • A. K. a. R. K. C. Panda, "Modified Dual-CLCG Method and its VLSI Architecture for Pseudorandom Bit Generation," IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 66, no. 3, pp. 989-1002, 2019.

Subjects

ISSN: 2249-8958 (Online)
https://portal.issn.org/resource/ISSN/2249-8958#
Retrieval Number:100.1/ijeat.E41880612523
https://www.ijeat.org/portfolio-item/e41880612523/
Journal Website: www.ijeat.org
https://www.ijeat.org/
Publisher: Blue Eyes Intelligence Engineering and Sciences Publication (BEIESP)
https://www.blueeyesintelligence.org//