../src/lowrisc_prim_abstract_rom_0/prim_rom.sv Cov: 94.4%
1: // Copyright lowRISC contributors.
2: // Licensed under the Apache License, Version 2.0, see LICENSE for details.
3: // SPDX-License-Identifier: Apache-2.0
4:
5: // This file is auto-generated.
6:
7: `ifndef PRIM_DEFAULT_IMPL
8: `define PRIM_DEFAULT_IMPL prim_pkg::ImplGeneric
9: `endif
10:
11: module prim_rom
12:
13: #(
14:
15: parameter int Width = 32,
16: parameter int Depth = 2048, // 8kB default
17: parameter MemInitFile = "", // VMEM file to initialize the memory with
18:
19: localparam int Aw = $clog2(Depth)
20:
21: ) (
22: input logic clk_i,
23: input logic req_i,
24: input logic [Aw-1:0] addr_i,
25: output logic [Width-1:0] rdata_o
26: );
27: parameter prim_pkg::impl_e Impl = `PRIM_DEFAULT_IMPL;
28:
29: if (1) begin : gen_generic
30: prim_generic_rom #(
31: .Depth(Depth),
32: .MemInitFile(MemInitFile),
33: .Width(Width)
34: ) u_impl_generic (
35: .*
36: );
37:
38: end
39:
40: endmodule
41: